您好,欢迎光临有路网!
EDA技术与FPGA应用设计
QQ咨询:
有路璐璐:

EDA技术与FPGA应用设计

  • 作者:张文爱
  • 出版社:电子工业出版社
  • ISBN:9787121158780
  • 出版日期:2012年01月01日
  • 页数:235
  • 定价:¥32.00
  • 分享领佣金
    手机购买
    城市
    店铺名称
    店主联系方式
    店铺售价
    库存
    店铺得分/总交易量
    发布时间
    操作

    新书比价

    网站名称
    书名
    售价
    优惠
    操作

    图书详情

    内容提要
    EDA技术与FPGA应用设计_张文爱_电子工业_
    本书主要包括CPLD/FPGA可编程逻辑器件介绍,可编程逻辑器件EDA开发软件使用,VHDL硬件描述语言设计方法和SOPC应用4大部分。**部分CPLD/FPGA可编程逻辑器件主要介绍可编程器件结构原理、设计流程、常用芯片特点及选用;第二部分**介绍目前国内外常用EDA软件isp Design EXPERT System、QuartusⅡ、ISE开发流程;第三部分**讲述VHDL语言基础、描述方法及设计实例;第四部分主要介绍DSP Builder、SOPC Builder、NiosⅡ应用及实例。
    目录
    第1章可编程逻辑器件概述
    1.1数字逻辑电路设计与ASIC技术
    1.1.1数字逻辑电路设计方法
    1.1.2ASIC及其设计方法
    1.2PLD概述
    1.2.1PLD的发展
    1.2.2PLD的分类
    1.3PLD逻辑表示法
    1.4PLD的设计与开发
    1.4.1PLD的设计流程
    1.4.2PLD的开发环境
    1.4.3IP核复用技术
    习题1
    第2章大规模可编程逻辑器件CPLD/FPGA
    2.1CPLD结构与工作原理
    2.1.1Lattice公司的CPLD器件系列
    2.1.2ispLSI1016的结构
    2.1.3ispLSI系列器件的主要技术特性
    2.1.4ispLSI器件的设计与编程
    2.2FPGA内部结构与工作原理
    2.3CPLD/FPGA产品概述
    2.3.1Altera公司产品
    2.3.2Xilinx公司产品
    2.3.3Lattice公司产品
    2.4编程与配置
    2.4.1在系统可编程ISP
    2.4.2配置
    2.5CPLD与FPGA的比较和选用
    习题2
    第3章常用EDA软件
    3.1ispDesignEXPERTSystem编程软件
    3.1.1建立设计项目
    3.1.2原理图源文件输入
    3.1.3功能和时序仿真
    3.1.4器件适配
    3.1.5器件编程
    3.1.6VHDL源文件输入方法
    3.2QuartusⅡ操作指南
    3.2.1建立设计工程
    3.2.2原理图源文件输入
    3.2.3编译
    3.2.4仿真验证
    3.2.5器件编程
    3.2.6VHDL设计输入方法
    3.3ISE开发软件
    3.3.1ISE概述
    3.3.2新建工程
    3.3.3新建VHDL源文件
    3.3.4波形仿真
    3.3.5设计实现
    3.3.6下载配置
    习题3
    第4章VHDL语言基础
    4.1VHDL语言的基本组成
    4.1.1参数部分
    4.1.2实体部分
    4.1.3结构体部分
    4.2VHDL语言要素
    4.2.1文字规则
    4.2.2数据对象
    4.2.3VHDL中的数据类型
    4.2.4VHDL语言的运算符
    4.2.5VHDL的属性
    习题4
    第5章VHDL基本描述语句
    5.1顺序语句
    5.1.1顺序赋值语句
    5.1.2IF语句
    5.1.3CASE语句
    5.1.4LOOP语句
    5.1.5NEXT语句
    5.1.6EXIT语句
    5.1.7WAIT语句
    5.1.8NULL语句
    5.2并行语句
    5.2.1并行信号赋值语句
    5.2.2PROCESS进程语句
    5.2.3元件例化语句
    5.2.4BLOCK块语句
    5.2.5GENERATE生成语句
    习题5
    第6章子程序与程序包
    6.1子程序
    6.1.1函数
    6.1.2过程
    6.2程序包
    6.2.1程序包定义
    6.2.2程序包引用
    6.2.3常用预定义程序包
    习题6
    第7章常用电路的VHDL描述
    7.1组合逻辑电路VHDL描述
    7.1.1基本门电路
    7.1.2编码器
    7.1.3译码器
    7.1.4数值比较器
    7.1.5数据选择器
    7.1.6算术运算
    7.1.7三态门电路
    7.1.8双向端口设计
    7.2时序逻辑电路
    7.2.1触发器
    7.2.2计数器
    7.2.3移位寄存器
    7.2.4状态机
    7.3存储器设计
    7.3.1ROM存储器设计
    7.3.2RAM存储器设计
    习题7
    第8章宏功能模块与IP核应用
    8.1LPM_RAM
    8.1.1LPM_RAM宏模块定制
    8.1.2工程编译
    8.1.3仿真验证
    8.1.4查看RTL原理图
    8.1.5LPM_RAM应用
    8.2LPM_ROM宏模块
    8.2.1建立初始化数据文件
    8.2.2LPM_ROM宏模块配置
    8.2.3仿真验证
    8.2.4LPM_ROM模块调用
    8.3时钟锁相环宏模块
    8.3.1LPM_DLL宏模块配置
    8.3.2PLL模块调用
    8.3.3仿真验证
    8.4片内逻辑分析仪
    8.4.1新建逻辑分析仪设置文件
    8.4.2引脚锁定
    8.4.3编程下载
    8.4.4信号采样
    习题8
    第9章DSPBuilder应用
    9.1DSPBuilder软件安装
    9.2DSPBuilder设计实例
    9.2.1建立Simulink模型
    9.2.2模型仿真
    9.2.3模型编译
    习题9
    第10章SOPCBuilder应用
    10.1SOPCBuilder
    10.2NiosII综合设计实例
    习题10
    参考文献

    与描述相符

    100

    北京 天津 河北 山西 内蒙古 辽宁 吉林 黑龙江 上海 江苏 浙江 安徽 福建 江西 山东 河南 湖北 湖南 广东 广西 海南 重庆 四川 贵州 云南 西藏 陕西 甘肃 青海 宁夏 新疆 台湾 香港 澳门 海外