您好,欢迎光临有路网!
EDA技术实用教程—VHDL版(第五版)
QQ咨询:
有路璐璐:

EDA技术实用教程—VHDL版(第五版)

  • 作者:潘松
  • 出版社:科学出版社
  • ISBN:9787030382122
  • 出版日期:2013年08月01日
  • 页数:395
  • 定价:¥42.00
  • 分享领佣金
    手机购买
    城市
    店铺名称
    店主联系方式
    店铺售价
    库存
    店铺得分/总交易量
    发布时间
    操作

    新书比价

    网站名称
    书名
    售价
    优惠
    操作

    图书详情

    内容提要
    《EDA技术实用教程:VHDL版(第5版)/“十二五”普通高等教育本科**级规划教材》以VHDL作为基本硬件描述语言宋介绍:EDA技术。作为教科书,与科学出版社出版的《EDA技术实用教程——VerilogHDL版》构成了姐妹篇。
    为了适应EDA技术在高新技术行业就业中的需求和高校教学的要求,突出EDA技术的实用性,以及面向工程实际的特点和自主创新能力的培养,作者力图将:EDA技术*新的发展成果、现代电子设计*前沿的理论和技术、国际业界普遍接受和认可的EDA软硬件开发平台的实用方法,通过本书合理的综合和萃取,奉献给广大读者。
    随着EDA技术的发展和应用领域的扩大,EDA.技术在电子信息、通信、自动控制及计算机应用等领域的重要性曰益突出。同时随着技术市场与人才市场对EDA技术需求的不断提高,产品的市场效率和技术要求也必然会反映到教学和科研领域中来。以*近几届全国大学生电子设计竞赛为例,涉及EDA技术的赛题从未缺席过。对诸如斯坦福大学、麻省理工学院等美国一些**院校的电子与计算机实验室建设情况的调研也表明,其EDA技术的教学与实践的内容也十分密集,在其本科和研究生教学中有两个明显的特
    目录
    第1章 EDA技术概述
    1.1 EDA技术及其发展
    1.2 EDA技术实现目标
    1.3 硬件描述语言
    1.4 HDL综合
    1.5 自顶向下的设计技术
    1.6 EDA技术的优势
    1.7 EDA设计流程
    1.7.1 设计输入(原理图/HDI_.文本编辑)
    1.7.2 综合
    1.7.3 适配
    1.7.4 时序仿真与功能仿真
    1.7.5 编程下载
    1.7.6 硬件测试
    1.8 ASIC及其设计流程
    1.8.1 ASIC设计简介
    1.8.2 ASIC设计一般流程简述
    1.9 常用EDA工具
    1.9.1 设计输入编辑器
    1.9.2 ItDL综合器
    1.9.3 仿真器
    1.9.4 适配器
    1.9.5 下载器
    1.10 Quartus II概述
    1.11 IP核
    1.12 EDA技术发展趋势管窥
    习题
    第2章 FPGA与CPLD的结构原理
    2.1 PLD概述
    2.1.1 PLD的发展历程
    2.1.2 PLD分类
    2.2 简单PLD结构原理
    2.2.1 逻辑元件符号表示
    2.2.2 PROM结构原理
    2.2.3 PLA结构原理
    2.2.4 PAL.结构原理
    2.2.5 GAL结构原理
    2.3 CPLD的结构原理
    2.4 FPGA的结构原理
    2.4.1 查找表逻辑结构
    2.4.2 Cyclone III系列器件的结构原理
    2.5 硬件测试
    2.5.1 内部逻辑测试
    2.5.2 JTAG边界扫描
    2.6 PLD产品概述
    2.6.1 Altera公司的PLD器件
    2.6.2 Lauicc公司的PLD器件
    2.6.3 X小m公司的PLD器件
    2.6.4 Acrel公司的PLD器件
    2.6.5 Altera的FPGA配置方式
    2.7 CPLD/PPGA的编程与配置
    2.7.1 CPLD在系统编程
    2.7.2 FPGA配置方式
    2.7.3 FPGA专用配置器件
    2.7.4 使用单片机配置FPGA
    2.7.5 使用CPLD配置FPGA
    习题
    第3章 组合电路的VHDL设计
    3.1 多路选择器及其VHDL描述
    3.2 半加器及其VHDL描述
    3.3 4选1多路选择器及其VHDL描述
    3.3.1 4选1多路选择器及CASE语句表述方式
    3.3.2 CASE语句
    3.3.3 IEEE库预定义标准逻辑位与矢量
    3.3.4 其他预定义标准数据类型
    3.3.5 信号定义和数据对象
    3.3.6 并置操作符&
    3.3.7 4选1多路选择器的VHDL不同描述方式
    ……
    第4章 时序仿真与硬件实现
    第5章 时序电路的VHDL设计
    第6单 Quartus II应用深入
    第7章 宏功能模块应用
    第8章 VHDL设计深入
    第9章 VHDL设计优化
    第10章 VHDL有限状态机设计
    第11章 16位实用CPU创新设计
    第12章 MCU与FPGA片上系统开发
    第13章 VHDL语法补充说明
    第14章 VHDL Test Bench仿真
    附录 EDA开发系统及相关软硬件
    参考文献

    与描述相符

    100

    北京 天津 河北 山西 内蒙古 辽宁 吉林 黑龙江 上海 江苏 浙江 安徽 福建 江西 山东 河南 湖北 湖南 广东 广西 海南 重庆 四川 贵州 云南 西藏 陕西 甘肃 青海 宁夏 新疆 台湾 香港 澳门 海外