网站购物车   | 店铺购物车  
店铺平均得分:98.00 分,再接再厉!!!【查看全部评价】
评分 40分 50分 60分 70分 80分 90分 100分
数量 1 0 0 0 2 1 51
本店铺共有 1 笔投诉记录,投诉率 2% ,高于平均投诉率 1% 【查看详细】
投诉类型
数量
比例
商品问题
1
100%
已解决
1
100%
店主称呼:西瓜三郎   联系方式:购买咨询请联系我  15305210657    地址:江苏省 徐州市 鼓楼区 朱庄西区
促销广告:淘 宝8年老店,皇冠信誉正版二手,全新图书。保证质量。价格低廉。欢迎光临
图书分类
店铺公告
新店刚开!
经营正版全新,二手图书,欢迎大家光临!
淘宝,拍拍都有店铺,不过受上传限制,所以影响了生意。
现在到有路网看看,不知道情况怎么样?
希望大家来捧场。谢谢
店铺介绍
二手正版图书,考试教材,自考,考研,文学,管理,经济,法律,医药。等等
全新正版图书,主要是儿童。成功励志,文学,生活用书,等等
欢迎光临
交易帮助
第一步:选择图书放入购物车。
第二步:结算、填写收货地址。
第三步:担保付款或银行汇款。
第四步:卖家发货。
第五步:确认收货、评价。
书名:EDA技术及VHDL
EDA技术及VHDL
出版日期:2008年12月
ISBN:9787564115043 [十位:7564115041]
页数:297      
定价:¥40.00
店铺售价:¥16.00 (为您节省:¥24.00
店铺库存:5
注:您当前是在入驻店铺购买,非有路网直接销售。
正在处理购买信息,请稍候……
我要买: * 如何购买
** 关于库存、售价、配送费等具体信息建议直接联系店主咨询。
联系店主:购买咨询请联系我  15305210657
本店已缴纳保证金,请放心购买!【如何赔付?】
店主推荐图书:
买家对店铺的满意度评价:查看更多>>
评分
评价内容
评论人
订单图书
《EDA技术及VHDL》内容提要:
本书全面介绍了EDA技术及VHDL概述和发展历史;讨论了EDA技术及VHDL与可编程逻辑器件的原理和应用;分析了VHDL结构与要素、VHDL基本语句;介绍了MAX+PLUS Ⅱ开发工具、VHDL设计应用实例;研究了EDA技术及VHDL的实验方法,主要包括基本逻辑电路、可编程逻辑器件的应用。
本书编写力求反映应用型本科的要求和理工类专业的教学特点,内容力求由浅入深,循序渐进,通俗易懂,基本概念和基本知识准确清晰,说明简明扼要,注重将理论与实际应用有机地结合起来,并且特别注意以形象直观的形式来配合文字表述,**突出,以帮助读者掌握计算机控制技术的主要内容。
本书可适应不同层次的读者选用,既可用于高等学校理工类本科教材,也适用于各类工程技术人员参考、阅读。
《EDA技术及VHDL》图书目录:
1 EDA技术概述
1.1 EDA技术及其发展
1.1.1 EDA技术的发展阶段
1.1.2 EDA的发展趋势
1.2 EDA技术的主要内容
1.2.1 硬件描述语言
1.2.2 大规模可编程逻辑器件
1.2.3 软件开发工具
1.2.4 实验开发系统
1.3 EDA技术的基本工具
1.3.1 设计输入编辑器
1.3.2 综合器
1.3.3 仿真器
1.3.4 适配器(布局布线器)
1.3.5 下载器
1.4 EDA技术的基本设计思路
1.4.1 EDA电路级设计
1.4.2 EDA系统级设计
1.5 EDA设计开发流程
1.5.1 设计输入
1.5.2 综合
1.5.3 适配
1.5.4 时序仿真与功能仿真
1.5.5 编程下载
1.5.6 硬件测试
习题1
2 可编程逻辑器件
2.1 可编程逻辑器件概述
2.1.1 PLD发展历程
2.1.2 可编程逻辑器件的特点
2.1.3 可编程逻辑器件的分类
2.2 简单PLD原理
2.2.1 PLD中阵列的表示方法
2.2.2 PROM
2.2.3 PLA器件
2.2.4 PAL
2.2.5 GAL器件
2.3 CPLD的结构与工作原理
2.3.1 CPLD的基本结构
2.3.2 Altera公司MAX系列CPLD简介
2.4 FPGA结构与工作原理
2.4.1 FPGA的基本结构
2.4.2 Xilinx公司XC3000系列的结构
2.5 常用FPGA/CPLD产品简介
2.5.1 ALTERA公司的FPGA/CPLD器件系列
2.5.2 Lattice公司CPLD器件系列
2.5.3 Xilinx公司的FPGA/CPLD器件系列
2.6 FPGA/CPLD的测试技术
2.6.1 内部逻辑测试
2.6.2 JTAG边界测试技术
2.7 FPGA和CPLD的比较
习题2
3 VHDL结构与要素
3.1 实体
3.1.1 实体说明
3.1.2 类属GENERIC)说明语句
3.1.3 端口说明
3.2 结构体
3.3 库、程序包及配置
3.3.1 库
3.3.2 程序包
3.3.3 配置
3.4 VHDL文字规则
3.4.1 数字型文字
3.4.2 字符串型文字
3.4.3 标识符
3.4.4 下标名及下标段名
3.5 VHDL数据对象
3.5.1 常量(CONSTANT)
3.5.2 变量(VARIABLE)
3.5.3 信号(SIGNAL)
3.6 VHDL数据类型
3.6.1 VHDL的预定义数据类型
3.6.2 IEEE预定义标准逻辑位与矢量
3.6.3 其他预定义标准数据类型
3.6.4 用户自定义数据类型方式
3.6.5 类型转换
3.7 VHDL操作符
3.7.1 逻辑操作符
3.7.2 关系操作符
3.7.3 算数操作符
3.7.4 并置操作符
3.7.5 省略赋值操作符
习题3
4 VHDL基本语句
4.1 VHDL顺序语句
4.1.1 赋值语句
4.1.2 转向控制语句
4.1.3 WAIT语句
4.1.4 子程序调用语句
4.1.5 返回语句(RETURN)
4.1.6 断言语句(Assert)
4.1.7 REPORT语句
4.1.8 NULL语句
4.2 VHDL并行语句
4.2.1 并行信号赋值语句
4.2.2 进程语句
4.2.3 块语句(BLOCK)
4.2.4 并行过程调用语句
4.2.5 元件例化语句
4.2.6 生成语句
4.3 属性描述与定义语句
习题4
5 MAX+plus Ⅱ开发工具
5.1 Max+plusⅡ开发系统的特点
5.2 VHDL文本输入设计方法初步
5.2.1 文本输入
5.2.2 系统的编译、综合、适配
5.2.3 时序仿真
5.2.4 引脚锁定
5.2.5 编程下载
5.3 原理图输入设计方法
5.3.1 原理图编辑工具
5.3.2 原理图方式设计步骤
5.3.3 设计流程归纳
5.3.4 较复杂电路的原理图设计
5.4 参数可设置LPM宏功能块应用
5.4.1 基于LPM数控分频器设计
5.4.2 编辑定制LPM_FF模块
5.4.3 编辑定制LPM_FIFO模块
5.4.4 基于LPMV_ROM的4位乘法器设计
5.5 波形输入设计方法
5.6 VHDL与原理图混合设计方式
习题5
6 基本逻辑电路设计
6.1 组合逻辑电路设计
6.1.1 门电路
6.1.2 编码器
6.1.3 译码器
6.1.4 选择器
6.1.5 比较器
6.1.6 加法器
6.1.7 三态门及总线缓冲器
6.2 时序逻辑电路设计
6.2.1 时钟信号和复位信号
6.2.2 触发器
6.2.3 寄存器
6.2.4 计数器
6.3 有限状态机(FSM)
6.3.1 状态机的功能和基本结构
6.3.2 Moore型有限状态机的设计
6.3.3 Mealy型有限状态机的设计
习题6
7 VHDL设计应用实例
7.1 移位相加8位硬件乘法器电路设计
7.1.1 设计原理
7.1.2 硬件乘法器的设计
7.1.3 系统仿真
7.2 数字频率计的设计
7.2.1 设计原理
7.2.2 数字频率计的设计
7.2.3 系统仿真
7.3 电梯控制系统的设计
7.3.1 设计要求
7.3.2 设计思路
7.3.3 设计实现
7.3.4 系统仿真
7.4 多功能信号发生器的设计
7.4.1 设计思路
7.4.2 多功能信号发生器的设计实现
7.4.3 系统仿真
7.5 数字闹钟系统设计
7.5.1 闹钟系统的设计要求及设计思路
7.5.2 闹钟系统的总体设计思路
7.5.3 闹钟系统的控制器的设计
7.5.4 闹钟系统的预置寄存器的设计
7.5.5 闹钟系统的寄存器的设计
7.5.6 闹钟系统的分频器的设计
7.5.7 闹钟系统的时间计数器的设计
7.5.8 闹钟系统的显示驱动器的设计
7.5.9 闹钟系统的整体组装
7.6 基于DDS的数字移相正弦信号发生器设计
7.6.1 系统设计要求
7.6.2 系统设计方案
7.6.3 设计实现
7.7 乒乓球游戏电路设计
7.7.1 设计思路
7.7.2 各模块的设计
7.8 乐曲硬件演奏电路设计
7.8.1 设计要求
7.8.2 设计原理
7.8.3 乐曲硬件演奏电路的设计
7.8.4 乐曲硬件演奏电路的顶层设计
7.8.5 系统仿真
习题7
8 实验
实验一 原理图输入设计8位全加器
实验二 含异步清零和同步时钟使能的4位加法计数器
实验三 七段数码显示译码器设计
实验四 数控分频器的设计
实验五 4位十进制频率计设计
实验六 用状态机实现序列检测器的设计
实验七 用状态机对ADC0809采样控制电路的实现
实验八 循环冗余校验(CRC)模块设计
附录 GW48实验电路结构图
部分习题参考答案
参考文献
《EDA技术及VHDL》文章节选:
1 EDA技术概述
1.2 EDA技术的主要内容
EDA技术主要内容包括四个方面:①硬件描述语言;②大规模可编程逻辑器件;③软件开发工具;④实验开发系统。其中,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动化设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。
1.2.1 硬件描述语言
硬件描述语言(HDL)是各种描述方法中*能体现EDA优越性的描述方法。所谓硬件描述语言,实际就是一个描述工具,其描述的对象就是待设计系统的逻辑功能,实现该功能的算法,选用的电路结构以及其他各种约束条件等,通常要求HDL既能描述系统的行为,又能描述系统的结构。
HDL的使用与普通的**语言相似,编制的HDL程序也需要首先经过编译器进行语法,语义的检查,并转换为某种中间数据格式,但与其他**语言相区别的是,用硬件描述语言编制程序的*终目的是要生成实际的硬件,因此HDL中有与硬件实际情况相对应的并行处理语句。此外,用HDL编制程序时,还需注意硬件资源的消耗问题(如门,触发器,连线等的数目),有的HDL程序虽然语法,语义上完全正确,但并不能生成与之相对应的实际硬件,其原因就是要实现这些程序所描述的逻辑功能,消耗的硬件资源将十分巨大。目前主要有以下两种HDL语言:
……
《EDA技术及VHDL》编辑推荐与评论:
本书共分8章。第1章介绍EDA技术及VHDL现状与发展(绪论);第2章主要介绍可编程逻辑器件结构、原理和应用技术;第3章主要研究讨论VHDL结构与要素;第4章主要研究讨论VHDL基本语句,第5章主要介绍MAX+PLUS Ⅱ开发工具;第6章主要研究讨论基本逻辑电路设计方法及应用;第7章主要介绍VHDL设计与应用实例;第8章主要研究讨论EDA技术及VHDL实验原理与实验方法。 为了让读者能全面、系统地掌握计算机控制技术系统的知识,达到教育部对应用型本科的要求,根据应用型本科的特点,本书在编写过程中,力求由浅入深,循序渐进,通俗易懂,基本概念和基本知识准确清晰,本书结构条理清晰,**要素突出。