网站购物车   | 店铺购物车  
店铺平均得分:99.65 分,再接再厉!!!【查看全部评价】
评分 40分 50分 60分 70分 80分 90分 100分
数量 57 22 39 73 172 600 49769
本店铺共有 192 笔投诉记录,投诉率 0% ,低于平均投诉率 1% 【查看详细】
投诉类型
数量
比例
无法联系卖家
39
20%
商品问题
29
15%
支付问题
1
1%
发货问题
84
44%
退款问题
27
14%
其他
12
6%
已解决
192
100%
店主称呼:精品二手书店   联系方式:购买咨询请联系我  13302463387    地址:广东省 中山市 其它区 只卖正版,多地仓库发货,有时候会分多个快递发,可以放心下单
促销广告:只卖正版,多地仓库发货,有时候会分多个快递发,可以放心下单
图书分类
店铺公告
重点公告:
本店是多地仓库,多种快递发货,经常发生一个订单分多个快递发出的情况,还请各位买家知晓
本店是多地仓库,多种快递发货,经常发生一个订单分多个快递发出的情况,还请各位买家知晓
二手书不支持退货,请知晓
本店不提供任何发票收据,请知晓
本店快递圆通、汇通、申通、韵达、全峰,不接受指定,随机发货,指定无效
大部分都有货,部分地区包邮
不接急单,只卖正版,部分地区包邮,多仓库发货,大部分都有货,可以放心下单
图书发出后,不接受拒收
您好,二手图书为旧书、用过的图书,不支持七天无理由退货
售后问题请直接电话联系客服
本店有多个仓库,多仓库发货,多仓库发货
本店快递圆通、汇通、申通、韵达、全峰,不接受指定,随机发货,指定无效
不接急单,只卖正版,部分地区包邮,多仓库发货,大部分都有货,可以放心下单
售后问题请直接电话联系客服
本店有多个仓库,多仓库发货,多仓库发货
本店是多地仓库,多种快递发货,经常发生一个订单分多个快递发出的情况,还请各位买家知晓
本店是多地仓库,多种快递发货,经常发生一个订单分多个快递发出的情况,还请各位买家知晓

因收来的都是二手图书,只卖正版二手,如收到书不是正版,可以直接联系我们退货退款,运费我们承担
因收来的都是二手图书,只卖正版二手,如收到书不是正版,可以直接联系我们退货退款,运费我们承担
店铺介绍
不接急单,重点公告:本店是多地仓库,多种快递发货,经常发生一个订单分多个快递发出的情况

重点公告:
本店是多地仓库,多种快递发货,经常发生一个订单分多个快递发出的情况,还请各位买家知晓
本店是多地仓库,多种快递发货,经常发生一个订单分多个快递发出的情况,还请各位买家知晓
二手书不支持退货,请知晓
本店不提供任何发票收据,请知晓
本店快递圆通、汇通、申通、韵达、全峰,不接受指定,随机发货,指定无效
大部分都有货,部分地区包邮
不接急单,只卖正版,部分地区包邮,多仓库发货,大部分都有货,可以放心下单
图书发出后,不接受拒收
您好,二手图书为旧书、用过的图书,不支持七天无理由退货
售后问题请直接电话联系客服
本店有多个仓库,多仓库发货,多仓库发货
本店快递圆通、汇通、申通、韵达、全峰,不接受指定,随机发货,指定无效
不接急单,只卖正版,部分地区包邮,多仓库发货,大部分都有货,可以放心下单
售后问题请直接电话联系客服
本店有多个仓库,多仓库发货,多仓库发货
本店是多地仓库,多种快递发货,经常发生一个订单分多个快递发出的情况,还请各位买家知晓
本店是多地仓库,多种快递发货,经常发生一个订单分多个快递发出的情况,还请各位买家知晓
交易帮助
第一步:选择图书放入购物车。
第二步:结算、填写收货地址。
第三步:担保付款或银行汇款。
第四步:卖家发货。
第五步:确认收货、评价。
作/译者:陈忠平 出版社:中国电力出版社
EDA技术与应用
出版日期:2013年11月
ISBN:9787512350359 [十位:751235035X]
页数:357      
定价:¥39.00
店铺售价:¥6.40 (为您节省:¥32.60
店铺库存:20
注:您当前是在入驻店铺购买,非有路网直接销售。
正在处理购买信息,请稍候……
我要买: * 如何购买
** 关于库存、售价、配送费等具体信息建议直接联系店主咨询。
联系店主:购买咨询请联系我  13302463387
本店已缴纳保证金,请放心购买!【如何赔付?】
店主推荐图书:
买家对店铺的满意度评价:查看更多>>
评分
评价内容
评论人
订单图书
《EDA技术与应用》内容提要:
陈忠平、高金定主编的《EDA技术与应用》为普通高等教育“十二五”规划教材。
《EDA技术与应用》共10章,主要内容包括EDA技术概述、CPLD/FPGA大规模可编程逻辑器件、VHDL硬件描述语言、QuartusⅡ软件的使用、常用数字电路的VHDL实现、LPM宏功能块与IP核应用、SOPC技术、FPGA的显示及键盘控制、FPGA的应用设计实例以及EDA技术实验等。本书根据现代电子系统的设计特点,从实验、实践、实用的角度,通过丰富的实例系统地介绍了EDA技术的理论基础和电子系统的VHDL设计方法。本书内容丰富新颖,结构清晰,理论联系实际,通俗实用,通过大量范例的讲解,便于读者对内容的理解和掌握。
本书可作为高等院校电子信息、电气、通信、自动控制、计算机及相近专业的本科或高职高专的EDA技术教材,也可作为广大电子设计人员的设计参考书或使用手册。
《EDA技术与应用》图书目录:
前言
1 EDA技术概述
1.1 EDA技术及其发展
1.2 EDA主要内容及主要EDA厂商
1.3 EDA设计流程
1.4 数字系统的设计
1.5 EDA技术的应用
小结
习题
2 CPID/FPGA大规模可编程逻辑器件
2.1可编程逻辑器件概述
2.2 CPID/FPGA结构与工作原理
2.3 CPID/FPGA的编程与配置
2.4 CPID/FPGA的比较和选用
小结
习题
3 VHDL硬件描述语言
3.1 硬件描述语言概述
3.2 VHDL程序结构
3.3 VHDL语言要素
3.4 VHDL顺序语句
3.5 VHDL并行语句
小结
习题
4 QuartusⅡ软件的使用
4.1 QuartusⅡ的初步认识
4.2 QuartusⅡ的安装
4.3 QuartusⅡ的设计文件输入
4.4 QuartusⅡ设计项目的编译
4.5 QuartusⅡ设计项目的仿真与器件编程
小结
习题
5 常用数字电路的VHDL实现
5.1 组合逻辑电路的VHDL实现
5.2 时序逻辑电路的VHDL实现
5.3 存储器电路的VHDL实现
5.4 状态机的VHDL实现
小结
习题
6 LPM宏功能块与IP核应用
6.1 宏功能模块概述
6.2 LPM计数器宏模块
6.3 流水线乘法累加器的设计
6.4 LPM随机存储器宏模块
6.5 LPM只读存储器宏模块
6.6 LPM锁相环宏模块
小结
习题
7 SOPC技术
7.1 SOPC技术简介
7.2 SOPC:Builder简介
7.3 SOPC:系统设计流程
7.4 SOPC系统架构
7.5 基于NiosⅡ的SOPC开发实例
小结
习题
8 FPGA的显示及键盘控制
8.1 流水灯显示控制
8.28位数码管动态显示控制
8.3 矩阵键盘控制
8.4 LCD1602液晶显示控制
小结
习题
9 FPGA的应用设计实例
9.1 模拟交通信号灯控制设计
9.2 数字频率计的设计
9.3 数字秒表的设计
9.4 音乐播放器的设计
9.5 步进电动机控制设计
小结
习题
10 EDA技术实验
10.1 门电路及触发器实验
10.2 逻辑电路实验
10.3 宏功能块与SOPC技术实验
10.4 FPGA综合应用实验
附录VHDL保留字
参考文献