您好,欢迎光临有路网!
FPGA高手设计实战真经100则
QQ咨询:
有路璐璐:

FPGA高手设计实战真经100则

  • 作者:斯塔维诺夫
  • 出版社:电子工业出版社
  • ISBN:9787121215209
  • 出版日期:2013年10月01日
  • 页数:400
  • 定价:¥69.00
  • 分享领佣金
    手机购买
    城市
    店铺名称
    店主联系方式
    店铺售价
    库存
    店铺得分/总交易量
    发布时间
    操作

    新书比价

    网站名称
    书名
    售价
    优惠
    操作

    图书详情

    内容提要
    目前, fpga逻辑设计已经成为一个高度专业化的硬件设计领域,它需要设计者熟练地掌握设计工具,深刻理解fpga的内在结构及灵活运用设计语言,从而能够有效地完成复杂的设计任务。本书旨在系统地和读者分享这些知识与经验,帮助电子工程师和学生提高其fpga设计技能。读者可以从书中发现有价值的实用设计经验,这些经验在其他相关的教材中较少涉及。本书以xilinx公司的fpga和集成开发环境为应用背景,示例以verilog hdl为设计语言。
    文章节选
    书中没有对基本语法知识进行过多介绍,而是根据作者长期工程实践经验,在FPGA设计工具使用、工程中需要注意的语法要点、设计综合与物理实现、FPGA选型、设计仿真与验证、IP内核的使用、设计优化、布局布线与时序收敛等11个方面总结归纳了100个常见的问题和知识点。
    本书作者有着多年的实践经验,并在书中配备了大量的插图、代码实例和脚本。本书涉及的内容都具有很强的针对性,所有讨论的主题都是围绕Xilinx Virtex-6和Spartan-6 FPGA架构展开的。本书的所有代码都使用Verilog HDL语言。
    本书**面向系统架构师、设计工程师和相关专业的教师与学生,以增进他们的FPGA设计技能。但是无论是新手还是富有经验的工程师,都能够在书中找到实用的信息。
    目录
    第1则 引言
    第2则 fpga发展现状
    第3则 fpga的应用
    第4则 fpga结构
    第5则 fpga项目中包含的任务
    第6则 fpga设计工具概述
    第7则 xilinx的fpga编译流程
    第8则 在命令行模式下使用xilinx工具
    第9则 xilinx的环境变量
    第10则 xilinx ise工具版本
    第11则 不常用的xilinx工具
    第12则 关于xilinx工具报告
    第13则 命名规范
    第14则 verilog编码风格
    第15则 编写用于fpga的可综合代码 第1则 引言 第2则 fpga发展现状 第3则 fpga的应用 第4则 fpga结构 第5则 fpga项目中包含的任务 第6则 fpga设计工具概述 第7则 xilinx的fpga编译流程 第8则 在命令行模式下使用xilinx工具 第9则 xilinx的环境变量 第10则 xilinx ise工具版本 第11则 不常用的xilinx工具 第12则 关于xilinx工具报告 第13则 命名规范 第14则 verilog编码风格 第15则 编写用于fpga的可综合代码 第16则 例化与推译 第17则 verilog和vhdl的混合使用 第18则 verilog版本: verilog?95、 verilog?2001与systemverilog 第19则 hdl代码编辑器 第20则 fpga时钟资源 第21则 时钟设计方案 第22则 跨时钟域 第23则 时钟同步电路 第24则 使用fifo 第25则 计数器 第26则 带符号的算术运算 第27则 状态机 第28则 使用xilinx dsp48原语 第29则 复位方案 第30则 设计移位寄存器 第31则 外部设备接口 第32则 使用查找表和进位链 第33则 流水线设计 第34则 使用嵌入式存储器 第35则 了解fpga比特流结构 第36则 fpga配置 第37则 fpga的重构 第38则 估计设计规模 第39则 估计设计速度 第40则 fpga的功耗估计 第41则 引脚分配 第42则 热分析 第43则 fpga的成本估计 第44则 gpgpu与fpga 第45则 asic向fpga的移植 第46则 asic和fpga的设计差异 第47则 硬件模拟器与原型验证平台 第48则 asic移植中的fpga芯片划分 第49则 时钟系统的移植 第50则 锁存器的移植 第51则 组合逻辑的移植 第52则 不可综合逻辑的移植 第53则 存储器的建模 第54则 高阻态逻辑的移植 第55则 验证移植后的设计 第56则 fpga设计验证 第57则 fpga仿真类型 第58则 如何提高仿真工具的性能 第59则 仿真和综合结果不一致的原因 第60则 如何选择仿真工具 第61则 商用仿真工具与开源仿真工具 第62则 测试平台的设计 第63则 仿真的*佳实践 第64则 仿真性能的评估 第65则 基于fpga架构的处理器 第66则 以太网ip核 第67则 网络应用设计 第68则 ip核的选择 第69则 ip核的保护 第70则 ip核的接口总线 第71则 串行和并行crc 第72则 扰码器、 伪随机二进制序列(prbs)和多输入移位寄存器(misr) 第73则 具有**应用的核 第74则 存储器控制器 第75则 usb核 第76则 pci express核 第77则 其他ip核和功能块 第78则 减少fpga的编译时间 第79则 设计面积优化: 工具选项 第80则 设计面积优化: 编码风格 第81则 设计功耗优化 第82则 fpga的设计开发 第83则 pcb检查工具 第84则 协议分析工具和训练器 第85则 fpga配置中遇到的问题 第86则 使用chipscope工具 第87则 使用fpga编辑器 第88则 使用xilinx系统监视器 第89则 fpga错误分析 第90则 时序约束 第91则 执行时序分析 第92则 时序收敛流程 第93则 时序收敛: 工具选项 第94则 时序收敛: 约束和编码风格 第95则 fpga的布局规划艺术 第96则 布局规划存储器和fifo 第97则 编译管理和持续集成 第98则 verilog处理和设计流程脚本语言 第99则 报告和设计分析工具 第100则 其他可参考资源 缩略语
    编辑推荐语
    书中没有对基本语法知识进行过多介绍,而是根据作者长期工程实践经验,在fpga设计工具使用、工程中需要注意的语法要点、设计综合与物理实现、fpga选型、设计仿真与验证、ip内核的使用、设计优化、布局布线与时序收敛等11个方面总结归纳了100个常见的问题和知识点。 本书作者有着多年的实践经验,并在书中配备了大量的插图、代码实例和脚本。本书涉及的内容都具有很强的针对性,所有讨论的主题都是围绕xilinx virtex-6和spartan-6 fpga架构展开的。本书的所有代码都使用verilog hdl语言。 本书**面向系统架构师、设计工程师和相关专业的教师与学生,以增进他们的fpga设计技能。但是无论是新手还是富有经验的工程师,都能够在书中找到实用的信息。

    与描述相符

    100

    北京 天津 河北 山西 内蒙古 辽宁 吉林 黑龙江 上海 江苏 浙江 安徽 福建 江西 山东 河南 湖北 湖南 广东 广西 海南 重庆 四川 贵州 云南 西藏 陕西 甘肃 青海 宁夏 新疆 台湾 香港 澳门 海外